Glossaria.net

Glossary VHDL / Term

fully bound

A binding indication for the component instance implies an entity interface and an architecture.

Permanent link fully bound - Creation date 2021-04-03


< full declaration Glossary / VHDL Function >