Glossaria.net

Glossary VHDL / Term

impure function

A function that may return a different value each time it is called, even when different calls have the same actual parameter values. A pure function returns the same value each time it is called using the same values as actual parameters. A impure function can update objects outside of its scope and can access a broader class of values than a pure function.

Permanent link impure function - Creation date 2021-04-03


< imply Glossary / VHDL incomplete type declaration >