Glossaria.net

Glossary VHDL / Term

port

A channel for dynamic communication between a block and its environment. A signal declared in the interface list of an entity declaration, in the header of a block statement, or in the interface list of a component declaration. In addition to the characteristics of signals, ports also have an associated mode; the mode constrains the directions of data flow allowed through the port.

Permanent link port - Creation date 2021-04-03


< physical type Glossary / VHDL port interface list >