Glossaria.net

Glossary VHDL / Term

Sequential Statements

Statements which are executed in the order they are written, as with "conventional" software languages.


Statements that execute in sequence in the order in which they appear. Sequential statements are used for algorithmic descriptions.

Permanent link Sequential Statements - Modification date 2021-09-14 - Creation date 2021-06-13


< sensitivity set Glossary / VHDL short-circuit operation >